آموزش FPGA

ساختار for در زبان VHDL | آموزش FPGA قسمت نوزدهم

for;در قسمت هجدهم از آموزش FPGA با شیفت رجیستر آشنا شدیم و مفصلا به تعریف آن پرداختیم، ساختارهای مختلف آن را با هم دیدیدم، همچنین عملکرد انواع شیفت رجیسترها را بررسی کردیم و آن‌ها را با رجیسترها مقایسه کردیم. در نهایت هم یک نو...

ادامه مطلب

پروتکل JTAG چیست

تاریخچه و قابلیت‌های خاص پروتکل JTAG

اگر با میکروکنترلرها زیاد سر و کار دارید و آن‌ها را پروگرام کرده باشید به احتمال زیاد اسم JTAG را نیز زیاد شنیده‌اید، اما چرا؟ چون از JTAG غالبا برای پروگرام و دیباگ تراشه‌های زیادی از جمله میکروکنترلرها استفاده می‌شود و افرادی که...

ادامه مطلب

آنالوگ یا دیجیتال

آنالوگ یا دیجیتال، مساله این است! (قسمت اول)

در دنیای امروز قطعات و دستگاه‌های دیجیتال به قدری زیاد شدند که احساس می‌شود شاید دیگر آنالوگ جایگاه خود را از دست داده است و کم‌کم باید به دست تاریخ سپرده شود. از سویی دیگر وقتی به خوبی به همین قطعات و دستگاه‌های دیجیتال نگاه می‌ک...

ادامه مطلب

اهمیت تئوری و ریاضیات در برنامه‌نویسی

اهمیت تئوری و ریاضیات در برنامه‌نویسی قسمت دوم: کری یا سرریز، کدام؟

در قسمت اول این مجموعه از مقالات، سیستم مکمل 2 را که همه‌ی ما از دوران دانشگاه از درس مدار منطقی با آن آشنا هستیم، به خوبی واکاوی کردیم و از خاصیتی نهفته در دل این سیستم پرده برداشتیم و مفصلا توضیح دادیم که این خاصیت نهفته چه کارب...

ادامه مطلب

آموزش FPGA

ساخت شیفت رجیستر برای FPGA | آموزش FPGA قسمت هجدهم

در قسمت هفدهم از آموزش FPGA با رجیسترها آشنا شدیم و از کاربردهای رجیسترها گفتیم، نهایتا هم با استفاده از زبان VHDL یک رجیستر را به صورت Generic توصیف کردیم، که شما می‌توانستید تنها با تغییر یک عدد عرض بیت رجیستر خود را تغییردهید. ...

ادامه مطلب

آموزش FPGA قسمت 17

توصیف حافظه‌های چند بیتی (رجیستر یا ثبات) | آموزش FPGA قسمت هفدهم

ما در قسمت دوازدهم تا قسمت شانزدهم از آموزش FPGA حافظه‌های تک‌بیتی یا همان فلیپ‌فلاپ‌ها را به طور کامل مورد بررسی قرار دادیم و هر آنچه نیاز بود، یا بهتر است بگوییم هر آنچه در رابطه با فلیپ‌فلاپ‌ها وجود داشت را به طور کامل بررسی کر...

ادامه مطلب

آموزش FPGA

بررسی عملکرد Set به جای Reset | آموزش FPGA قسمت شانزدهم

Reset;در قسمت پانزدهم از آموزش FPGA در مورد نحوه‌ی نوشتن ریست در FPGA‌های شرکت Xilinx مفصلا صحبت کردیم و از منطق سخت‌افزاری که درون FPGA وجود دارد پرده برداشتیم و نهایتا طبق شماتیک مدار پیاده‌سازی شده در FPGA به شما توصیه کردی...

ادامه مطلب

آموزش FPGA

نحوه‌ی نوشتن ریست در FPGA‌های شرکت Xilinx | آموزش FPGA قسمت پانزدهم

در قسمت چهاردهم از آموزش FPGA در مورد اینکه عملکرد ریست چیست و دقیقا چه کاری انجام می‌دهد مفصلا صحبت کردیم. سپس به صورت خیلی جزئی‌تر در مورد عملکرد ریست در FPGA صحبت کردیم و عملکرد آن را توضیح دادیم و گفتیم که در FPGA ریست را به د...

ادامه مطلب

آموزش FPGA

عملکرد ریست در FPGA با استفاده از زبان VHDL | آموزش FPGA قسمت چهاردهم

در قسمت سیزدهم از آموزش FPGA بحث مربوط به توصیف عناصر حافظه را ادامه داده بودیم و مفصلا در مورد اینکه درون FPGA، حافظه‌ها چگونه به وجود می‌آیند صحبت کردیم. همچنین اگر خاطرتان باشد گفتیم با توجه به اینکه در محیط ترکیبی یا محیط ترتی...

ادامه مطلب

آموزش FPGA و زبان VHDL

توصیف عناصر حافظه با فلیپ‌فلاپ | آموزش FPGA قسمت سیزدهم

در قسمت دوازدهم از آموزش FPGA مفصلا در رابطه با عناصر حافظه در سیستم‌های دیجیتال صحبت کردیم و به تشریح جزئیات آن‌ها پرداختیم، در ادامه بیان کرده بودیم که این عناصر به دو دسته کلی با نام‌های لچ و فلیپ‌فلاپ تقسیم می‌شوند. نهایتا به ...

ادامه مطلب