آموزش FPGA

نحوه‌ی نوشتن ریست در FPGA‌های شرکت Xilinx | آموزش FPGA قسمت پانزدهم

در قسمت چهاردهم از آموزش FPGA در مورد اینکه عملکرد ریست چیست و دقیقا چه کاری انجام می‌دهد مفصلا صحبت کردیم. سپس به صورت خیلی جزئی‌تر در مورد عملکرد ریست در FPGA صحبت کردیم و عملکرد آن را توضیح دادیم و گفتیم که در FPGA ریست را به د...

ادامه مطلب

آموزش FPGA

عملکرد ریست در FPGA با استفاده از زبان VHDL | آموزش FPGA قسمت چهاردهم

در قسمت سیزدهم از آموزش FPGA بحث مربوط به توصیف عناصر حافظه را ادامه داده بودیم و مفصلا در مورد اینکه درون FPGA، حافظه‌ها چگونه به وجود می‌آیند صحبت کردیم. همچنین اگر خاطرتان باشد گفتیم با توجه به اینکه در محیط ترکیبی یا محیط ترتی...

ادامه مطلب

آموزش FPGA و زبان VHDL

توصیف عناصر حافظه با فلیپ‌فلاپ | آموزش FPGA قسمت سیزدهم

در قسمت دوازدهم از آموزش FPGA مفصلا در رابطه با عناصر حافظه در سیستم‌های دیجیتال صحبت کردیم و به تشریح جزئیات آن‌ها پرداختیم، در ادامه بیان کرده بودیم که این عناصر به دو دسته کلی با نام‌های لچ و فلیپ‌فلاپ تقسیم می‌شوند. نهایتا به ...

ادامه مطلب

آموزش FPGA و زبان VHDL

عناصر حافظه در سیستم‌های دیجیتال | آموزش FPGA قسمت دوازدهم

در قسمت یازدهم از آموزش FPGA با ساختار شرطی case آشنا شدیم و به مقایسه ساختارهای شرطی در محیط ترتیبی پرداختیم. در نهایت مقایسه‌ای تخصصی در رابطه با این ساختارها ارائه دادیم و نوصیه‌هایی برای هرچه حرفه‌ای‌تر شدن شما بیان کردیم....

ادامه مطلب

آموزش FPGA از مقدماتی تا پیشرفته

ساختار شرطی case در FPGA | آموزش FPGA قسمت یازدهم

در قسمت دهم از آموزش FPGA به طور کامل با ساختار شرطی if آشنا شدیم و همه‌ی قابلیت‌های این ساختار را با استفاده از مثال انکودر اولویت‌دار به طور کامل بررسی کردیم.در این قسمت قصد داریم ساختار شرطی case را بررسی کنیم، و این ساختار...

ادامه مطلب

آموزش FPGA

ساختار شرطی if در انکودر برای FPGA – آموزش FPGA قسمت دهم

در قسمت نهم از آموزش FPGA ساختار شرطی if را تشریح کردیم و با استفاده از توصیف مالتی‌پلکسر، با بعضی از قابلیت‌های این ساختار آشنا شدیم.در این قسمت قصد داریم با ساختار شرطی if بیشتر آشنا شویم و قابلیت‌های دیگر این ساختار را با ا...

ادامه مطلب

آموزش FPGA

ساختار شرطی if در محیط ترتیبی FPGA | آموزش FPGA قسمت نهم

ساختار شرطی if;در قسمت هشتم از آموزش FPGA با مدارات ترتیبی در محیط Sequential آشنا شدیم. عناصر پایه سازنده مدارات ترتیبی را شناختیم و به طور مفصل بررسی کردیم که در محیط ترتیبی، ارجاعات چگونه انجام می‌گیرند.در این قسمت با س...

ادامه مطلب

آموزش FPGA

مدارات ترتیبی در محیط Sequential | آموزش FPGA قسمت هشتم

مدارات ترتیبی;در قسمت هفتم از آموزش FPGA ساختار ارجاع انتخابی را تشریح، و با استفاده از آن یک مالتی‌پلکسر 4 به 1 را توصیف کردیم. در همان قسمت بحث مربوط به مدارات ترکیبی را به پایان رساندیم و همچنین هرآنچه در مورد کلیات و مفهوم...

ادامه مطلب

آموزش FPGA

ساختار ارجاع انتخابی در FPGA – آموزش FPGA قسمت هفتم

ساختار ارجاع انتخابی; در قسمت ششم از آموزش FPGA با ساختار ارجاع شرطی آشنا شدیم، سپس یک ALU را با استفاده از این ساختار توصیف، و در نهایت برای صحت عملکرد ALU موردنظر، یک فایل Test Bench ساختیم و در نرم‌افزار ALU ،ISIM را شبیه‌سازی...

ادامه مطلب

آموزش FPGA

شبیه‌سازی ساختار ارجاع شرطی در ISIMمهندسی پزشکی با FPGA – آموزش FPGA قسمت ششم

ساختار ارجاع شرطی;در قسمت پنجم از آموزش FPGA یاد گرفتیم که چگونه می‌توان یک ماژول را به ماژول دیگر اضافه کرد، و در نهایت توانستیم یک تمام جمع‌کننده‌ی چهار بیتی را با استفاده از این الگو، و چهار بار اضافه کردن یک ماژول، به ماژو...

ادامه مطلب