مبانی محاسبات ریاضی در FPGA

مبانی محاسبات ریاضی در FPGA

  مقدمه یکی از مهمترین مزایای طراحی‌های مبتنی بر تراشه‌های قابل پیکره‌بندی توانایی آن‌ها در پیاده‌سازی الگوریتم‌های ریاضی به بهترین شکل ممکن است. برای مثال اگر برای دست‌یابی به پاسخ نهایی محدودیت زما‌نبندی وجود دارد، می‌توا...

ادامه مطلب

از منابع ورودی و خروجی FPGA چه می دانیم؟

از منابع ورودی و خروجی FPGA چه می دانیم؟

مقدمه در این مقاله مفاهیم کلی مرتبط با منابع ورودی و خروجی FPGA مرور می‌شود. سپس به شکل مختصر مهمترین ویژگی‌های این منابع معرفی می‌شوند. این مقاله به طور خاص برای تراشه‌های سری ۷ شرکت Xilinx نوشته شده است، اما بسیاری از تعاریف ار...

ادامه مطلب

آشنایی با FPGA – تفاوت FPGA با پردازنده‌ها

آشنایی با FPGA – تفاوت FPGA با پردازنده‌ها

تفاوت FPGA با پردازنده‌ها اولین برتری FPGAها نسبت به پردازنده‌ها سرعت بسیار بیشتر آنها در انجام روتین‌های مختلف پردازشی و کنترلی است. به طور معمول، یک الگوریتم مشخص را می‌توان با سرعتی بسیار فراتر از یک پردازنده در FPGA پیاده‌س...

ادامه مطلب

پیاده سازی تابع لگاریتم در FPGA

پیاده سازی تابع لگاریتم در FPGA

مقدمه یکی از مهترین ویژگی‌های تراشه‌های FPGA قابلیت‌های آن‌ها در پیاده‌سازی انواع توابع ریاضی است. توابع ریاضی ممکن است گاهاً بسیار ساده و ترکیبی از چند عمل ضرب و جمع باشند. بعضاً نیز ممکن است شامل عملگرهای غیرخطی همچون لگاریتم ی...

ادامه مطلب

پیاده‌سازی اعداد مختلط در FPGA

پیاده‌سازی اعداد مختلط در FPGA

در بسیاری از الگوریتم‌‌های پردازش سیگنال‌‎های دیجیتال، نیاز به انجام محاسباتی، روی اعداد مختلط دارید. اما در FPGA‌ها (و به طور کلی در تمامی مدارات دیجیتال)، تحقق فیزیکی یا مداری خاص برای پیاده‌‌سازی اعداد مختلط وجود ندارد؛ بنابرای...

ادامه مطلب

آموزش FPGA

عملگرها و توابع در زبان VHDL | آموزش FPGA قسمت بیستم

در قسمت هجدهم و قسمت نوزدهم از آموزش FPGA با شیفت رجیستر آشنا شدیم و شیفت رجیستر را با روش‌های متفاوتی پیاده‌سازی کردیم. در این قسمت قصد داریم که ابتدا با عملگرها آشنا شویم و سپس به عملگرها و توابع در زبان VHDL بپردازیم. عملگرها ...

ادامه مطلب

آموزش FPGA

ساختار for در زبان VHDL | آموزش FPGA قسمت نوزدهم

for;در قسمت هجدهم از آموزش FPGA با شیفت رجیستر آشنا شدیم و مفصلا به تعریف آن پرداختیم، ساختارهای مختلف آن را با هم دیدیدم، همچنین عملکرد انواع شیفت رجیسترها را بررسی کردیم و آن‌ها را با رجیسترها مقایسه کردیم. در نهایت هم یک نو...

ادامه مطلب

آموزش FPGA

ساخت شیفت رجیستر برای FPGA | آموزش FPGA قسمت هجدهم

در قسمت هفدهم از آموزش FPGA با رجیسترها آشنا شدیم و از کاربردهای رجیسترها گفتیم، نهایتا هم با استفاده از زبان VHDL یک رجیستر را به صورت Generic توصیف کردیم، که شما می‌توانستید تنها با تغییر یک عدد عرض بیت رجیستر خود را تغییردهید. ...

ادامه مطلب

آموزش FPGA قسمت 17

توصیف حافظه‌های چند بیتی (رجیستر یا ثبات) | آموزش FPGA قسمت هفدهم

ما در قسمت دوازدهم تا قسمت شانزدهم از آموزش FPGA حافظه‌های تک‌بیتی یا همان فلیپ‌فلاپ‌ها را به طور کامل مورد بررسی قرار دادیم و هر آنچه نیاز بود، یا بهتر است بگوییم هر آنچه در رابطه با فلیپ‌فلاپ‌ها وجود داشت را به طور کامل بررسی کر...

ادامه مطلب

آموزش FPGA

بررسی عملکرد Set به جای Reset | آموزش FPGA قسمت شانزدهم

Reset;در قسمت پانزدهم از آموزش FPGA در مورد نحوه‌ی نوشتن ریست در FPGA‌های شرکت Xilinx مفصلا صحبت کردیم و از منطق سخت‌افزاری که درون FPGA وجود دارد پرده برداشتیم و نهایتا طبق شماتیک مدار پیاده‌سازی شده در FPGA به شما توصیه کردی...

ادامه مطلب